Published Oct 26, 2010



PLUMX
Google Scholar
 
Search GoogleScholar


Cecilia Sandova- Ruiz

Antonio Fedón

##plugins.themes.bootstrap3.article.details##

Abstract

In this paper we present theory bases for Reed-Solomon Coders/Decoders building blocks, and a methodology to the basicoriented design of Field Programmable Gate Arrays (FPGA). Initially, the design of the Coder at the software level is presented, later the architecture and captures using VHDL, with Xilinx ISE 6.1 are showed. Finally, the simulations using ModelSim 5.7 are carried out. The operations in finite or Galois fields, GF(2m), are the fundamentals for several algorithms in the fields of error-correction codes and digital signal processing. Nevertheless, the calculations involved are time-consuming, especially when they are performed by software. Due to performance and security reasons, it is rather convenient to implement algorithms by hardware.

Keywords

Reconfigurable hardware, Reed-Solomon codes, digital communicationhardware reconfigurable, códigos Reed-Solomon, comunicación digital

References
AGATEP, Antolin. Reed-Solomon Solutions with Spartan-II FPGA, WP110 (v1.1). [Documento en línea]. February 10, 2000. [Consulta: 10-3-2006].
ALVARADO, Raúl. Códigos para detección y corrección de errores en comunicaciones digitales. Ingenierías. 2004, vol. VII, núm. 25, p. 52-60. ISSN 1405-0676.
ARRIAGADA, Álvaro. FEC (Forward Error Correction) y Código Reed-Solomon. Universidad de Concepción, 2001.
ASHENDEN, Peter J. The VHDL Cookbook. 1st ed. Australia: Dept. Computer Science, University of Adelaide, South Australia, 1990.
CARPIO, Fernando. VHDL Lenguaje para descripción y modelado de circuitos. Ingeniería Informática. Universidad de Valencia, 1997.
CHANG, K. C. Digital Systems Design with VHDL and Synthesis, An Integrated Approach. Los Alamitos: IEEE Computer Society, 1999. 0-76950023-4
CUERVO, Efrén C. Construcción de un decodificador Reed-Solomon en VHDL. s.f.
DIGILENT. Digilab DIO2 Reference Manual. [Documento en línea]. 2002. .[Consulta: 10-7-2006].
IEEE COMPUTER SOCIETY. IEEE Standard VHDL Language Reference Manual. Los Alamitos: IEEE Computer Society, 2000. ISBN 0-7381-1948-2.
LÓPEZ MARTÍNEZ, Fco.Javier. Diseño de transmisor y receptor para redes inalámbricas W-MAN. Tesis de grado. Escuela Técnica Superior de Ingeniería de Telecomunicación. Universidad de Málaga, 2005.
NAZAR A., Saqib. Implementación eficiente de algoritmos criptográficos en dispositivos de hardware reconfigurable. Tesis Doctoral. México: Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional, 2004.
PÉREZ L., Serafín A. et al. Diseño de sistemas digitales con VHDL. España, 2002. http://www.dte.uvigo.es/vhdl/. [Consulta: 14-10- 2006].
REED, I. S. y SOLOMON, Polynomial Codes over Certain Finite Fields, Journal of the Society for Industrial and Applied Mathematics. 1960, vol. 8, núm. 2, p. 300-304.
SANDOVAL, C. Transmisión de datos usando códigos Reed-Solomon e intercalado convolucional implementado sobre FPGA. Comunicación de Datos. 2006, vol. 4, pp. 83-89.
SHANNON, C. E. A Mathematical Theory of Communication. Bell System Technical Journal. 1948, vol. 27, p. 379-423.
SUARDÍAZ, Juan. Control electrónico mediante telefonía móvil digital basada en la red GSM. Revista Tecnología y Desarrollo. 2004, vol. 2.
VERA, M. y VEJARANO, G. Diseño de funciones DSP usando VHDL y FPGAs. [Documento en línea] Cali, Colombia: Grupo de Bioelectrónica y Nanoelectrónica, EIEE, Universidad del Valle. . [Consulta: 15-10-2006].
WICKER, S. B. y BHARGAVA, V. K. Reed-Solomon Codes an Their Applications. Wiley-IEEE Press. 1999. 336 p. ISBN: 0-7803-5391-9.
XILINX. Reed-Solomon Solutions with Spartan-II FPGA. Xilinx System Generator v2.1 for Simulink. s.l.: s.d.
How to Cite
Sandova- Ruiz, C. ., & Fedón, A. (2010). Reed-solomon digital encoder/decoder for reconfigurable hardware. Ingenieria Y Universidad, 11(1). Retrieved from https://revistas.javeriana.edu.co/index.php/iyu/article/view/922
Section
Articles